Jumat, 24 Desember 2010

seven segment display

nama: fauziah
tugas fpga seven segment display

Seven Segment adalah suatu segmen- segmen yang digunakan menampilkan angka. Seven segmen ini tersusun atas 7 batang LED yang disusun membentuk angka 8 dengan menggunakan huruf a-f yang disebut DOT MATRIKS. Setiap segmen ini terdiri dari 1 atau 2 Light Emitting Diode ( LED ).


Jenis- jenis Seven Segment

1. COMMON ANODA

Disini, semua anoda dari diode disatukan secara parallel dan semua itu dihubungkan ke VCC dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari penggerak. Karena dihubungkan ke VCC, maka COMMON ANODA ini berada pada

kondisi AKTIF HIGH.

2. COMMON KATODA

Disini semua katoda disatukan secara parallel dan dihubungkan ke GROUND. Karena seluruh katoda dihubungkan ke GROUND, maka COMMO

N KATODA ini berada pada kondisi AKTIF LOW






Prinsip kerja seven segmen ialah input biner pada switch dikonversikan masuk ke dalam decoder, baru kemudian decoder mengkonversi bilangan biner tersebut menjadi decimal, yang nantinya akan ditampilkan pada seven segment.



























.